Blog

Semiconductor Industry Electronic Design Automation (EDA) High-Performance Computing (HPC)

Five Reasons why ThinLinc Remote Desktop is a Perfect Fit for EDA Workflows in Semiconductor Design

Jun, 10, 24

Electronic Design Automation (EDA), the backbone of modern semiconductor chip design, is a complex realm where specialized software tools, essential for tasks like simulation, layout, and verification play a pivotal role. The software suites involved in EDA processes often carry hefty system requirements and a strong reliance on Linux-based operating systems.

This makes choosing a remote desktop solution all the more important for seamless collaboration and design efficiency. ThinLinc is a robust Linux-based remote desktop server from Cendio. It offers a compelling set of advantages that make it a highly suitable fit for EDA environments.

ThinLinc offers versatile client compatibility for various platforms, including Linux, macOS, Windows, and web browsers. This cross-platform solution allows users to connect to remote desktops from their preferred device or operating system.

1. Top-Tier Performance on Linux

ThinLinc is uniquely designed for Linux environments, providing exceptional performance when accessing EDA tools remotely. Its native compatibility eliminates the performance gap often encountered with other remote desktop solutions. Graphic-intensive applications, simulations, and design layouts run smoothly, thanks to ThinLinc’s optimized architecture. Additionally, ThinLinc supports 3D acceleration through VirtualGL, ensuring complex 3D models and simulations are rendered efficiently. This results in near-native interaction latency, enhancing the overall user experience and making it virtually indistinguishable from working on a local machine.

2. Enhanced Security

ThinLinc is a remote access solution that enables secure access to a central server where Electronic Design Automation (EDA) applications and data are hosted. All client server communication is protected by the highly secure SSH tunneling protocol allowing easy integration with existing security infrastructure.  Centralized data storage mitigates the risk of security breaches often associated with local workstations and allows IT administrators to effectively manage user permissions and safeguard critical design assets, which is crucial in the EDA process.

3. Seamless Collaboration

EDA frequently involves teams working across various locations. The ThinLinc shadowing feature allows for real-time desktop sharing. This means engineers can review designs together, share expertise, and streamline the development cycle, regardless of their physical location.

4. Flexibility and Customization

The versatility of ThinLinc allows it to adapt to the specific needs of an EDA workflow. It supports a range of Linux distributions, and can seamlessly integrate into existing IT infrastructure. This flexibility ensures a setup ideal for the unique requirements of the EDA environment. Moreover, workflow automation scripts and local customization are much simpler to manage on a few central servers than on many, potentially remote workstations.

5. Cost-Effectiveness

EDA software licenses themselves can be costly. ThinLinc offers a budget-friendly way to extend the reach of these expensive tools. By enabling access to centralized, powerful severs running EDA software, ThinLinc reduces the need for costly hardware at every individual engineer’s desk. This translates to significant cost savings while maintaining an efficient design process.

Conclusion

The need for a high-performance, secure, and collaborative remote access solution within the EDA industry is paramount. ThinLinc meets these requirements head-on, offering superior Linux compatibility, security features tailored for sensitive information, seamless collaborative features, customizability, and a cost-effective model. By deploying ThinLinc, EDA teams can streamline workflows, unlock remote work possibilities, and protect valuable intellectual property.

 

 

——————————————————————————–

What are the most common Linux applications used for EDA?

 

 

Introduction

EDA tools facilitate the creation, analysis, and optimization of electronic systems such as technology, device and integrated circuits (ICs) and printed circuit boards (PCBs), meeting the stringent requirements of state-of-the-art electronic products.

Historically, most EDA tools were developed for Unix-like operating systems such as SunOS, HP-UX, and AIX. This trend was influenced by the robust performance, stability, and scalability offered by these systems and the fact that Windows was not really a good operating system for high-performance computing.

Approximately two decades ago, there was a significant push towards supporting Windows as an operating system for EDA tools. This shift aimed to leverage the widespread adoption of Windows in various industries. However, the emergence of Linux as a cost-effective alternative offering a familiar Unix environment led to a paradigm shift. Linux provided access to inexpensive PC hardware while maintaining the features required for EDA, which ultimately limited efforts to port EDA tools to Windows.

Today, Linux has become the preferred platform for most EDA tools, particularly those used in IC and semiconductor design. Nonetheless, certain tools, especially in the PCB design space, continue to support Windows due to specific market demands and legacy reasons.

The evolving needs of designers who develop advanced electronic systems drive the continuous enhancement of EDA tools. These tools must support various stages of the design process, including design entry, synthesis and optimization, as well as verification, results analysis and visualization.

Use cases

EDA tools are used in various work steps, including:

Interactive Development and Viewing: This involves the initial design and schematic capture of electronic systems, allowing for real-time interaction between the designer and the tool, often with immediate visual feedback.

Verification with High Computing Power and Large Amounts of Data: This step involves rigorous testing and simulation of the design, requiring substantial computational resources to handle large datasets and complex calculations, ensuring the design meets specified requirements.

Control of Complex and Time-Consuming Verification Runs: Managing and orchestrating extensive verification processes, often involving distributed computing environments to handle the complexity and duration of these tasks efficiently.

Visualization of Generated Result Data: Displaying simulation and verification results in a comprehensible format, often requiring sophisticated 2D and 3D visualization tools to navigate and analyze the data. This step also includes interactive optimization processes where designers refine the design based on the visualized data.

Optimization of Computationally Intensive Synthesis and Optimization Steps: Refining the design through iterative processes that involve computationally demanding synthesis and optimization tasks, leveraging powerful algorithms and high-performance computing resources.

EDA tools for digital circuit design (IC, SoC and FPGAs)

Simulation and Verification Tools

  • ModelSim/QuestaSim: Popular for VHDL and Verilog simulations. ModelSim is widely used for RTL simulation and debugging.
  • Cadence Xcelium: A high-performance parallel simulator for verifying complex SoCs.
  • Synopsys VCS: Another leading tool for RTL simulation, offering comprehensive verification capabilities.

Synthesis Tools

  • Synopsys Design Compiler: An industry-standard tool for synthesizing RTL code into gate-level netlists.
  • Cadence Genus Synthesis Solution: Used for RTL synthesis and design analysis.
  • Mentor Graphics Precision RTL Plus: A synthesis tool often used for FPGA designs.

Layout and Physical Design Tools

  • Cadence Innovus: A leading tool for physical design, offering a complete suite for floorplanning, placement, routing, and optimization.
  • Synopsys IC Compiler II: Used for physical implementation of digital designs, from floorplanning to tape-out.
  • Mentor Graphics Calibre: Widely used for physical verification, DRC (Design Rule Checking), and LVS (Layout vs. Schematic).

EDA tools for PCB design

  • KiCad: An open-source suite for PCB design, offering schematic capture, PCB layout, and 3D viewer.
  • Cadence Allegro: A professional-grade PCB design suite used for complex board designs.
  • Mentor Graphics PADS: Another professional PCB design tool known for its comprehensive feature set.

EDA tools for full custom circuit design (analog)

Cadence Virtuoso

  • Virtuoso Analog Design Environment (ADE): Provides a comprehensive suite for analog simulation and design. It includes tools for schematic capture, simulation, analysis, and post-layout verification.
  • Virtuoso Layout Suite: Used for custom layout, providing advanced features for device placement, routing, and optimization in analog, RF, and mixed-signal designs.
  • Virtuoso Schematic Editor: Allows designers to create and edit schematics for analog and mixed-signal ICs.

Synopsys Custom Compiler

  • Offers a comprehensive environment for custom IC design, integrating schematic capture, layout editing, simulation, and analysis. It’s designed to streamline the design process and enhance productivity in custom digital, analog, and mixed-signal designs.

Mentor Graphics (Siemens EDA)

  • Analog FastSPICE (AFS): Provides high-performance, high-capacity simulation for complex analog, mixed-signal, and RF designs.
  • Pyxis: Mentor’s custom IC design flow, which includes tools for schematic capture, layout, and routing.

Keysight Technologies

  • ADS (Advanced Design System): A comprehensive EDA software for RF, microwave, and high-speed digital applications, offering schematic capture, layout, and advanced simulation capabilities.
  • GoldenGate: RFIC simulation and analysis software, integrated with the Cadence Virtuoso environment, providing accurate and scalable simulation for RF and mixed-signal designs.

Empyrean EDA

  • Aether: A platform for analog and RF design that includes schematic capture, layout, simulation, and verification tools.
  • Argus: High-performance, high-capacity SPICE simulation tool for analog and mixed-signal circuits.
  • Spectre: Analog and mixed-signal circuit simulation suite, widely used for accurate and efficient verification of designs.

Open-Source Analog Design Tools

  • Ngspice: An open-source SPICE simulator for analog and mixed-signal circuits.
  • Xyce: Parallel electronic simulator, capable of handling large-scale circuits, developed by Sandia National Laboratories.
  • LTspice: Freeware SPICE simulator with enhanced capabilities for switching regulator design, often used for analog circuit simulation.

EDA Solutions ­– the only native Windows based tool suite

Tanner EDA: Now part of Siemens EDA, Tanner EDA provides a complete analog/mixed-signal design suite, including:

  • S-Edit: Schematic capture tool for analog and mixed-signal designs.
  • T-Spice: Simulation tool for analog, mixed-signal, and RF design.
  • L-Edit: Layout editor tailored for analog and mixed-signal IC design.
  • HiPer Verify: Physical verification tool for DRC and LVS.

 

EDA tools for technology and devices (TCAD)

Technology Computer-Aided Design (TCAD) is a branch of electronic design automation (EDA) focused on simulating the physical and chemical processes involved in semiconductor device fabrication. TCAD tools are essential for understanding and optimizing the manufacturing process, as well as for designing semiconductor devices at a more fundamental level (FE).

TCAD tools are used for a variety of applications in semiconductor research and development:

  • Process Optimization: Understanding and optimizing the steps involved in semiconductor fabrication.
  • Device Design: Simulating the electrical characteristics of devices to optimize their performance.
  • Failure Analysis: Investigating the root causes of device failures and identifying potential improvements.
  • Technology Development: Supporting the development of new semiconductor technologies by providing detailed insights into physical and chemical processes.

Here are some of the most common TCAD tools used in the industry:

Synopsys TCAD Suite

  • Sentaurus: A comprehensive suite of TCAD tools for simulating semiconductor processes and devices. It includes:
    • Sentaurus Process: Simulates the fabrication steps of semiconductor devices, such as ion implantation, diffusion, and oxidation.
    • Sentaurus Device: Simulates the electrical behavior of semiconductor devices, providing insight into their performance and characteristics.
    • Sentaurus Workbench: An environment for managing and integrating various TCAD simulations and workflows.

Silvaco TCAD Tools

  • Athena: Used for process simulation, modeling the fabrication steps of semiconductor devices.
  • Atlas: Device simulation tool that models the electrical, optical, and thermal behavior of semiconductor devices.
  • Victory Process: A 3D process simulator used to model complex device structures and their fabrication processes.
  • Victory Device: A 3D device simulator for advanced semiconductor devices, offering detailed physical modeling capabilities.

Keysight Technologies (formerly Agilent)

  • TCAD Sentaurus: Acquired from Synopsys, Keysight provides TCAD Sentaurus for process and device simulation, focusing on RF and microwave device design.

Cogenda TCAD

  • Genius: A multidimensional device simulator capable of simulating a wide range of semiconductor devices, including CMOS, power devices, and optoelectronics.
  • Victory Atomistic: A quantum mechanical simulation tool for modeling the behavior of nano-scale semiconductor devices.

Crosslight Software

  • APSYS: Advanced physical simulation tool for optoelectronic devices, such as LEDs and solar cells.
  • LASTIP: Specialized in the simulation of laser diodes and photonic integrated circuits.
  • PICS3D: 3D simulator for photonic devices, especially focused on VCSELs (Vertical-Cavity Surface-Emitting Lasers).

COMSOL Multiphysics

  • While not exclusively a TCAD tool, COMSOL provides multiphysics simulation capabilities that can be applied to semiconductor device modeling. It allows for the coupling of electrical, thermal, mechanical, and chemical phenomena.

Open-Source TCAD Tools

  • GTS Framework: An open-source framework for TCAD simulations, supporting various types of process and device simulations.
  • nanoHUB Tools: A variety of simulation tools available through the nanoHUB platform, including tools for semiconductor device simulation and analysis.

These tools are critical for semiconductor companies, research institutions, and universities involved in the development and manufacturing of advanced semiconductor devices. They provide the necessary simulation capabilities to innovate and improve semiconductor technology.

Summary and Outlook

In summary, EDA tools are integral to the design and development of electronic systems, providing essential capabilities for design entry, verification, results analysis, and optimization.

Looking ahead, EDA tools will continue to evolve to meet the increasing complexity and performance requirements of advanced electronic systems. Innovations will focus on enhancing interactive design experiences, improving the efficiency of high-performance verification processes, and advancing data visualization and optimization techniques. As technology progresses, EDA tools will play a pivotal role in driving the future of semiconductor design and electronic system innovation.